Вопросы с тегом «hdl»

11
FPGA: считать вверх или вниз?

Я учусь использовать FPGA (плата разработки Papilio, на которой установлен xilinx spartan3e, использующий vhdl). Мне нужно разделить входящий импульс на (жестко закодированное) число. Я вижу 3 варианта - примерно, как псевдокод (на примере 10 отсчетов): Инициализируйте до 0, при увеличении входного...

11
Как вывести внутренние сигналы нижнего модуля на верхний модуль в VHDL?

Как я могу вывести внутренние сигналы моего исходного кода VHDL на свой стенд, чтобы я мог просматривать их как сигналы? Я использую Active HDL. Я хотел бы знать, есть ли какой-либо инструмент независимый метод достижения моей цели. Любая помощь приветствуется. Я получаю эту ошибку сейчас. Мой...

10
Можете ли вы связать испытательный стенд Modelsim с внешними стимулами

Я работаю в команде, которая занимается разработкой драйверов и ПЛИС. Симуляция FPGA выполняется в Modelsim, а программное обеспечение драйвера написано на C. Чтобы минимизировать риск интеграции, я хотел бы иметь возможность смоделировать взаимодействие между двумя половинами нашего продукта,...

10
VHDL: Использование оператора '*' при реализации множителей в дизайне

Современные FPGA имеют встроенные блоки DSP, последние FPGA даже имеют встроенные модули с плавающей запятой, соответствующие IEEE-754. Можно создать объект / модуль DSP с помощью графического интерфейса после выбора необходимых параметров в нем, а затем создать его экземпляр в проекте. Когда нам...

10
VHDL трубопровод MD5

Я пытаюсь реализовать трехступенчатый конвейер MD5 по этой ссылке . В частности, алгоритмы на стр. 31. Существует также другой документ, который описывает пересылку данных. Это сделано в FPGA (Terasic DE2-115). В этом проекте нет схем, только код VHDL. library ieee; use ieee.std_logic_1164.all; use...

10
Что такое «полузащита» в ПЛИС?

В статье о радиационно-жестких ПЛИС я наткнулся на это предложение: «Другая проблема, связанная с устройствами Virtex, заключается в том, что в этих устройствах иногда используются половинные защелки. Они используются для внутренних констант, поскольку это более эффективно, чем использование...

9
SystemC против HDL

В настоящее время я участвую в университетском проекте по внедрению процессора существующего набора инструкций. Идея состоит в том, что к концу проекта я смогу синтезировать этот проект и запустить его в FPGA. Пока все идет хорошо, я начал реализовывать дизайн в Verilog HDL несколько дней назад и...

9
Имитация простого тестового стенда с синтезированным ядром ПЗУ

Я совершенно новичок в мире FPGA и думал, что начну с очень простого проекта: 4-битного 7-сегментного декодера. Первая версия, которую я написал исключительно на VHDL (это, по сути, единый комбинаторный режим select, не требующий часов), и, похоже, он работает, но я также хотел бы...

9
Нажатие на те же строки клавиш одновременно

Я проектирую клавиатуру в VHDL. Все работает нормально, когда нажата только одна клавиша. Я сканирую каждый столбец на предмет нажатия клавиши на конечном компьютере, и когда ни одна клавиша не нажимается, это условие, при котором pin4pin6pin7pin2 = "0000"я переключаюсь в следующее состояние для...

9
VHDL: приемный модуль случайным образом дает сбой при подсчете битов

Фон Это личный проект; это касается подключения FPGA к N64, байтовые значения, которые получает FPGA, затем отправляются через UART на мой компьютер. Это на самом деле работает довольно хорошо! К сожалению, в случайное время устройство выйдет из строя, а затем восстановится. Благодаря отладке мне...

9
Как переменная VHDL синтезируется средствами синтеза

Я знаю два способа, которыми переменная VHDL синтезируется инструментом синтеза: Переменная, синтезированная как комбинационная логика Переменная, непреднамеренно синтезированная как Latch (когда неинициализированная переменная назначается сигналу или другой переменной) Каковы другие способы,...

9
Имитация дизайна ПЛИС без реального оборудования

Я новичок в FPGA и в настоящее время беру HDL (особенно Verilog) класс. У меня достаточно знаний в цифровом дизайне, таких как комбинационные и последовательные схемы. Я хочу создать проект, похожий на тот, который показан в этом видео на YouTube . Я также знаю, что Xilinx ISE может обрабатывать...

9
Когда использовать STD_LOGIC вместо BIT в VHDL

Какая разница между использованием: ENTITY MyDemo is PORT(X: IN STD_LOGIC; F: OUT STD_LOGIC ); END MyDemo; а также ENTITY MyDemo is PORT(X: IN BIT; F: OUT BIT ); END MyDemo; Каковы ограничения использования BIT по сравнению с STD_LOGIC и наоборот? Они полностью взаимозаменяемы? Я понимаю, что если...

9
Как избежать защелок во время синтеза

Я хочу разработать блок комбинационной логики с использованием VHDL, но иногда синтезированный результат содержит непреднамеренную защелку. Каким правилам кодирования я должен следовать, чтобы синтезатор не вывел защелки? Пример: в небольшом сегменте кода я должен использовать операторы...

9
Как я могу сгенерировать файл изображения схематической блок-схемы из Verilog?

Я хочу создать схему конкретной иерархии модулей verilog, показывающую, какие блоки связаны с какими другими блоками. Так же, как инструмент Debussy / Verdi nschema от Novas / Springsoft или любой из ряда инструментов EDA, которые предоставляют браузер графического дизайна для вашего RTL. Какая...

8
Почему этот простой шаблон VHDL для регистра сдвига не работает должным образом

На первый взгляд можно ожидать, что приведенный ниже исходный код VHDL будет вести себя как регистр сдвига. В этом д со временем будет "UUUU0", "UUU00", "UU000", "U0000", "00000", .... но вместо этого это всегда Uпосле пяти (или более) последовательных тактов. Почему это? Этот код на самом деле...