Вопросы с тегом «modelsim»

24
Методы разделения / синхронизации последовательного протокола

Поскольку асинхронная последовательная связь широко распространена среди электронных устройств даже сегодня, я считаю, что многие из нас время от времени сталкивались с таким вопросом. Рассмотрим электронное устройство Dи компьютер, PCсоединенные последовательной линией (RS-232 или аналогичные) и...

11
Как отладить красные сигналы в ModelSIM?

Я должен спроектировать конечный автомат, используя только вентили NAND для комбинаторной части и D триггеры для последовательной логики. Все должно работать на часах 1 ГГц / 53. Теперь, прежде чем вы нападаете на меня со словами «мы не сделаем вашу домашнюю работу за вас», позвольте мне сказать...

10
Можете ли вы связать испытательный стенд Modelsim с внешними стимулами

Я работаю в команде, которая занимается разработкой драйверов и ПЛИС. Симуляция FPGA выполняется в Modelsim, а программное обеспечение драйвера написано на C. Чтобы минимизировать риск интеграции, я хотел бы иметь возможность смоделировать взаимодействие между двумя половинами нашего продукта,...

9
PIC12F675 GP4 не работает

Я использую PIC12F675 для проекта, и все работает отлично, кроме одной вещи. GP4 не работает как цифровой IO. Я много смотрел на конфиги и код, но ничего не смог найти. Config: #pragma config FOSC = INTRCCLK #pragma config WDTE = OFF #pragma config PWRTE = OFF #pragma config MCLRE = OFF #pragma...

8
Почему этот простой шаблон VHDL для регистра сдвига не работает должным образом

На первый взгляд можно ожидать, что приведенный ниже исходный код VHDL будет вести себя как регистр сдвига. В этом д со временем будет "UUUU0", "UUU00", "UU000", "U0000", "00000", .... но вместо этого это всегда Uпосле пяти (или более) последовательных тактов. Почему это? Этот код на самом деле...