Вопросы с тегом «hdl»

14
VHDL: архитектура именования и интерпретации

Примечание: я использую ISE Xilinx и у меня есть плата ПЛИС для работы (с переключателями, лампами и т. Д.), И я до сих пор собирал несколько простых проектов. В то же время я читаю несколько учебных пособий, чтобы заложить основу для того, что я делаю. Я видел различные объекты и их архитектуры,...

14
Как работают транзисторы BJT в насыщенном состоянии?

Вот что я знаю о NPN BJT (биполярных переходных транзисторах): Ток базы-эмиттера увеличивается в HFE раз на коллекторе-эмиттере, так что Ice = Ibe * HFE Vbeэто напряжение между Base-Emitter и, как и любой диод, обычно составляет около 0,65 В. Я не помню о Vec, хотя. Если Vbeон ниже минимального...

13
Что заставило бы меня выбрать Verilog или VHDL вместо схематического дизайна для CPLD или FPGA?

У меня нет абсолютно никакого опыта в программируемой логике, я использую в своих проектах в основном микроконтроллеры, но недавно мне нужно было работать с видео, и микроконтроллер слишком медленный для того, что мне было нужно, поэтому я начал играть с CPLD. Мне удалось получить хорошие...

13
Что происходит, когда FPGA «запрограммирован»?

Из того, что я понимаю, процесс программирования FPGA состоит из двух частей: Закодируйте описание оборудования в биты, которые может понять ПЛИС (т.е. напишите немного HDL и скомпилируйте его) Загрузите скомпилированный HDL на FPGA. Мой вопрос: «Что делает ПЛИС с скомпилированным HDL?». На данный...

12
Дешевая плата разработки FPGA [закрыта]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 4 года назад . Я хочу начать с FPGA, но никогда раньше не работал с ним. Я хочу дешевый комплект, но я...

12
Дизайн прошивки ПЛИС: Насколько большой слишком большой?

У меня есть особенно большое преобразование обработки сигналов, которое нужно перенести из matlab в VHDL. Это определенно требует некоторого разделения ресурсов. Немного расчета дал мне следующее: 512 ффтов по 64 очка 41210 операций многократного добавления Учитывая, что самая большая FPGA Virtex 6...

12
книга рекомендаций по FPGA [закрыто]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 2 года назад . Какие названия книг вы бы порекомендовали начать с FPGA и VHDL? редактировать я заметил...

12
Какова мотивация в использовании Verilog или VHDL над C?

Я пришел из опыта программирования и не слишком много путался с аппаратными средствами или прошивками (самое большее, электроника и Arduino). Какова мотивация в использовании языков описания аппаратных средств (HDL), таких как Verilog и VHDL, по сравнению с языками программирования, такими как C...

11
Существуют ли библиотеки с открытым исходным кодом для VHDL, как для C ++ или python?

Когда я подхожу к проблеме в C ++ или python, существует много библиотек, которые выполняют тяжелую работу над моим кодом. Я думаю о GNU GSL , BOOST или FFTW для C ++ и NumPy или SciPy для Python. Во многих отношениях тот факт, что эти ресурсы существуют, делает кодирование на этих соответствующих...

11
Разница между If-else и оператором Case в VHDL

Я хочу понять, как различные конструкции в коде VHDL синтезируются в RTL. Может ли кто-нибудь сказать мне разницу между конструкциями If-Else и конструкциями Case для процесса в VHDL с точки зрения того, как инструмент выводит код в схему RTL? Рассмотрим случай множественного вложенного if-else и...

11
Как определить области дизайна ПЛИС, которые используют больше всего ресурсов и областей?

Я работаю над большим дизайном ПЛИС, и я очень близок к пределам ресурсов ПЛИС, которую я сейчас использую, Xilinx LX16 в пакете CSG225. Дизайн также почти завершен, однако на данный момент он больше не будет соответствовать FPGA. Я могу отключить детали, чтобы привести его в соответствие, однако...

11
Когда лучше использовать представления VECTOR против INTEGER?

В ветке комментариев об ответе на этот вопрос: Неправильные выводы в сущности VHDL было указано: «С целыми числами у вас нет контроля или доступа к внутреннему логическому представлению в FPGA, в то время как SLV позволяет вам делать такие трюки, как эффективное использование цепи переноса». Итак,...

11
Вы используете VHDL в настоящее время?

Я студент электротехники, и я изучаю язык описания оборудования, известный как VHDL. Я искал его в Google, искал IDE (я на Mac), но этот язык кажется довольно мертвым. Итак, вот мой вопрос: в моей будущей работе в качестве инженера-электрика VHDL будет полезен для меня? Вы используете это?...

11
VHDL: OR-IN-биты вектора вместе

Я хочу или биты вектора вместе. Скажем, у меня есть вектор, который я назвал, example(23 downto 0)и я хочу перевести все биты в другой вектор, есть ли способ сделать это, не включающий переход example(0) or example(1) or...

11
Как я могу указать сигналы «пофиг» в VHDL?

На курсах «Логическое проектирование» мы все узнали, что можно минимизировать логическую функцию, например, используя карту Карно или алгоритм Куайна – МакКласки . Мы также узнали, что значения «Не волнует» увеличивают потенциал минимизации. Например возьмите файл реестра. write_addressИ...

11
Новые проекты на FPGA?

Locked . Этот вопрос и его ответы заблокированы, потому что вопрос не по теме, но имеет историческое значение. В настоящее время он не принимает новые ответы или взаимодействия. У меня две недели до окончания моего первого курса по дизайну цифровой логики в колледже, и, очевидно, окончательного...

11
Пример кода для FIR / IIR фильтров в VHDL?

Я пытаюсь начать работу с DSP на моей доске Spartan-3. Я сделал плату AC97 с чипом от старой материнской платы, и до сих пор я делал это для АЦП, умножения выборок на число <1 (уменьшение громкости) и затем на ЦАП. Теперь я хотел бы сделать некоторые базовые вещи DSP, такие как фильтр нижних...

11
Есть ли «Шаблоны проектирования» для синтезируемых RTL?

Что касается программного обеспечения, книга « Шаблоны проектирования» представляет собой набор шаблонов для выполнения общих задач в программном обеспечении и дает практикующим программистам общую терминологию для описания некоторых компонентов, которые им необходимо создать. Существует ли такая...

11
Как вывести внутренние сигналы нижнего модуля на верхний модуль в VHDL?

Как я могу вывести внутренние сигналы моего исходного кода VHDL на свой стенд, чтобы я мог просматривать их как сигналы? Я использую Active HDL. Я хотел бы знать, есть ли какой-либо инструмент независимый метод достижения моей цели. Любая помощь приветствуется. Я получаю эту ошибку сейчас. Мой...