Вопросы с тегом «fpga»

9
Вся цифровая фазовая петля

Я рассчитываю реализовать фазовую синхронизацию в FPGA без использования каких-либо внешних компонентов (кроме АЦП). Для простоты достаточно привязки к простому двоичному импульсу. Частота сигналов составляет ~ 0,1-1% от тактовой частоты. Я не могу использовать встроенные часы PLL, потому что они...

9
ПЛИС - DIY Программирование

Я программирую микроконтроллеры уже несколько лет, и я только что обнаружил ПЛИС после прохождения курса цифрового дизайна. После некоторых исследований различных FPGA, плат разработки и т. Д. Я все еще не решаюсь их покупать, потому что не знаю, как сделать собственную версию конечного «продукта»....

9
Программирование нескольких FPGA с использованием JTAG

У меня есть цепочка JTAG, соединяющая 4 спартанских 6 FPGA, которые я программирую, используя ISE iMPACT. Программное обеспечение может успешно программировать любое строгое подмножество 4 ПЛИС подряд и в любом порядке. Однако, когда я пытаюсь запрограммировать все четыре FPGA, вывод DONE последней...

9
Подключение компьютерной кассеты в стиле 80-х к FPGA

Я заново внедряю компьютер Microbee 1980-х годов на FPGA (см. Здесь ) и пытаюсь выяснить, как сделать порт для кассеты. Вот схемы для оригинального интерфейса кассеты Microbee: (источник: toptensoftware.com ) Я также нашел это описание в техническом руководстве: Вывод кассетных данных состоит...

9
Имитация простого тестового стенда с синтезированным ядром ПЗУ

Я совершенно новичок в мире FPGA и думал, что начну с очень простого проекта: 4-битного 7-сегментного декодера. Первая версия, которую я написал исключительно на VHDL (это, по сути, единый комбинаторный режим select, не требующий часов), и, похоже, он работает, но я также хотел бы...

9
Имитация дизайна ПЛИС без реального оборудования

Я новичок в FPGA и в настоящее время беру HDL (особенно Verilog) класс. У меня достаточно знаний в цифровом дизайне, таких как комбинационные и последовательные схемы. Я хочу создать проект, похожий на тот, который показан в этом видео на YouTube . Я также знаю, что Xilinx ISE может обрабатывать...

9
Относится ли SD-карта в режиме SPI к выбору чипа / выбору ведомого? Кажется, сбрасывается в моем приложении

У меня есть приложение, где у меня есть микроконтроллер (NXP LPC1343 ), который подключен к FPGA через 16-битный SPI. Существует также SD-карта, использующая тот же порт SPI (MISO / MOSI), но с другим выводом CS / SS (оба активны на низком уровне согласно спецификации SPI). Одна из вещей, которые...

9
VHDL: приемный модуль случайным образом дает сбой при подсчете битов

Фон Это личный проект; это касается подключения FPGA к N64, байтовые значения, которые получает FPGA, затем отправляются через UART на мой компьютер. Это на самом деле работает довольно хорошо! К сожалению, в случайное время устройство выйдет из строя, а затем восстановится. Благодаря отладке мне...

9
Программирование PIC через FPGA

Я хотел бы знать, есть ли способ запрограммировать PIC впервые (запись во Flash) через карту FPGA. ПИК уже припаяна к ПЛИС, и я не могу удалить его. На PIC нет загрузчика. Поэтому мне нужно запрограммировать его в режиме USART / SPI / I2C с помощью загрузчика, чтобы он мог получать данные из ПЛИС....

9
советы по проектированию печатной платы FPGA

Я планирую разработать собственную печатную плату FPGA. PCB будет содержать датчики. Мне нужно прочитать выходные данные датчиков и обработать их в процессоре. Я выполнил множество проектов с использованием ПЛИС, но это будет мой первый нестандартный проект, в котором я должен также учитывать...

9
Можно ли создать БИХ-фильтр в ПЛИС с тактовой частотой?

Этот вопрос касается реализации фильтра БИХ в ПЛИС со срезами DSP, с очень конкретными критериями. Допустим, вы делаете фильтр без прямых нажатий и только с одним обратным касанием, с этим уравнением: Y[ n ] = у[ П - 1 ] ⋅ б 1 + х [ п ]Y[N]знак равноY[N-1]⋅б1+Икс[N]y[n] = y[n-1] \cdot b1 + x[n]...

9
Как получить дизайн ПЛИС, который определенно будет работать на реальном оборудовании

Я только начал изучать дизайн цифровой логики с помощью ПЛИС и строил много проектов. В большинстве случаев (так как я отчасти нуб), у меня есть дизайн, который идеально имитирует (поведенческое моделирование), но не синтезирует должным образом. Итак, мой вопрос: «Какие этапы проектирования я могу...

9
Какой метод вы предлагаете для прототипирования асинхронных схем?

Я был удивлен и в некоторой степени шокирован, обнаружив, что не существует должным образом установленного инструмента для проектирования и создания прототипов асинхронных схем. Я продолжаю искать, используя Google и другие средства, чтобы найти хороший метод для проектирования асинхронных схем...

9
Как синхронизатор 2-ff обеспечивает правильную синхронизацию?

Использование 2-ff синхронизаторов было стандартом для сигнала, чтобы пересечь границы часов. И есть много бумаги / рисунков, иллюстрирующих механизм, такой как этот: Кажется BCLK может только образец пульс адата один раз (на втором нарастающем фронте BCLK ), что приводит к выходной...

9
У моей FPGA нет ресурсов маршрутизации?

У меня есть дизайн контроллера Serial-ATA, работающий практически на любых устройствах серии Xilinx 7, за исключением устройства Artix-7, которое вызывает у меня головную боль ... Чистая конструкция (SATA 6,0 Гбит / с, тактовая частота 150 МГц) может быть реализована на моем Artix-7 200T. Если я...

8
Как работает ФАПЧ внутри ПЛИС?

Я использовал ПЛИС Altera с прошлого года, и я хотел бы знать, как работают ФАПЧ внутри. В основном, действительно есть какие-либо аналоговые схемы внутри, чтобы измерить сдвиг фаз между ГУН и внешним сигналом? Эти красивые части настолько надежны в широком диапазоне частот (в настоящее время 100...

8
Как использовать порт HDMI на FPGA (основной)

Два вопроса. У меня есть Xilinx Spartan 6 FPGA, которая имеет только входы и выходы HDMI. Есть ли какое-нибудь руководство или предварительно написанный код, который я могу использовать, чтобы начать отправку изображений на экран? Я не знаю, с чего начать, и я не смог найти ресурсы. Во-вторых,...

8
Как коммерческие микропроцессоры соответствуют времени с гигагерцевыми часами?

У меня проблемы с созданием относительно простой конструкции ПЛИС (для Altera Cyclone IV), соответствующей времени для логики с тактовой частотой 250 МГц. Это заставляет меня задуматься о том, как коммерческим микропроцессорам (таким как Intel Core i7) удается достичь синхронизации на тактовых...

8
Сравните реализацию простого автоматизированного проектирования на MCU против FPGA / CPLD

Я работаю с микроконтроллерами с 90-х годов, и недавно я вышел на сцену FPGA с чипами серии Spartan6 от Xilinx. Принимая во внимание простую конструкцию системы автоматизации производства с датчиками и двигателями и некоторый интеллект, чтобы связать все, на каком типе устройства я мог бы закончить...