Вопросы с тегом «fpga»

13
Что происходит, когда FPGA «запрограммирован»?

Из того, что я понимаю, процесс программирования FPGA состоит из двух частей: Закодируйте описание оборудования в биты, которые может понять ПЛИС (т.е. напишите немного HDL и скомпилируйте его) Загрузите скомпилированный HDL на FPGA. Мой вопрос: «Что делает ПЛИС с скомпилированным HDL?». На данный...

13
Могу ли я использовать дифференциальные выводы ввода / вывода FPGA в качестве высокоскоростного компаратора?

Высокоскоростные компараторы довольно дороги, а скорость FPGA очень хороша. С другой стороны, FPGA (в моем случае: XC3S400) имеют парные дифференциальные выводы в каждом банке для сравнения их напряжений (по крайней мере, я так думаю!). У них также есть Vref для односторонних стандартов, которые...

13
Какие микроконтроллеры с встроенной программируемой логикой (например, FPGA / CPLD) доступны на рынке?

Вдохновленный вопросом, я хотел бы спросить, какие микроконтроллеры со встроенными функциями CPLD или FPGA доступны? Наиболее интересными будут недорогие в дружественных пакетах (не BGA). Часть CPLD / FPGA должна быть достаточно большой, чтобы позволить реализовать довольно сложный конечный автомат...

13
Использование SVN с Xilinx Vivado?

Я только что заявил об использовании Vivado в новом проекте и хотел бы поместить файлы проекта в SVN. Кажется, Vivado создает все файлы проекта под именем проекта (скажем, proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc proj1.runs/ proj1.data/...

13
1000 Гц + частота обновления дисплеев / проекторов? (для создания объемных дисплеев)

Locked . Есть споры о том, что содержание этого вопроса решается в настоящее время. В настоящее время он не принимает новые ответы или взаимодействия. Я нашел только несколько объемных дисплеев для нужного эффекта. Их можно разделить по двум характеристикам на две отдельные группы: вращающиеся или...

12
Что такое мягкие, твердые и жесткие IP-ядра? [закрыто]

Закрыто . Этот вопрос должен быть более сфокусированным . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он был сосредоточен только на одной проблеме, отредактировав этот пост . Закрыто 2 года назад . Насколько я понимаю, ядра интеллектуальной...

12
Является ли ПЛИС жизнеспособной для такого проекта?

В настоящее время я работаю над Super OSD - проектом на экране. http://code.google.com/p/super-osd содержит все подробности. На данный момент я использую MCU dsPIC для выполнения этой работы. Это очень мощный DSP (40 MIPS при 80 МГц, трехканальные одноцикловые операции и блок MAC), и, что...

12
Дизайн прошивки ПЛИС: Насколько большой слишком большой?

У меня есть особенно большое преобразование обработки сигналов, которое нужно перенести из matlab в VHDL. Это определенно требует некоторого разделения ресурсов. Немного расчета дал мне следующее: 512 ффтов по 64 очка 41210 операций многократного добавления Учитывая, что самая большая FPGA Virtex 6...

12
Каковы недостатки использования комплектов разработки FPGA в качестве «конечного продукта»?

Я понимаю, что серьезные фирмы HW могут изготавливать свои собственные платы, но каковы недостатки использования платы разработки «в производстве», то есть размещения карты PCIe на сервере и выполнения вычислений на...

12
книга рекомендаций по FPGA [закрыто]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 2 года назад . Какие названия книг вы бы порекомендовали начать с FPGA и VHDL? редактировать я заметил...

12
Дешевая плата разработки FPGA [закрыта]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 4 года назад . Я хочу начать с FPGA, но никогда раньше не работал с ним. Я хочу дешевый комплект, но я...

12
Число в квадратных скобках на схеме ПЛИС

Я изучаю схемы платы разработки FPGA. Я заметил, что многие контакты имеют число в квадратных скобках. Я прилагаю скриншот части схемы, где эти цифры обведены зеленым. Кроме того, справа от зеленого круга есть один штифт с [2,5] перед названием. Я хотел бы спросить, если вы знаете, что они имеют в...

11
Когда лучше использовать представления VECTOR против INTEGER?

В ветке комментариев об ответе на этот вопрос: Неправильные выводы в сущности VHDL было указано: «С целыми числами у вас нет контроля или доступа к внутреннему логическому представлению в FPGA, в то время как SLV позволяет вам делать такие трюки, как эффективное использование цепи переноса». Итак,...

11
Как определить области дизайна ПЛИС, которые используют больше всего ресурсов и областей?

Я работаю над большим дизайном ПЛИС, и я очень близок к пределам ресурсов ПЛИС, которую я сейчас использую, Xilinx LX16 в пакете CSG225. Дизайн также почти завершен, однако на данный момент он больше не будет соответствовать FPGA. Я могу отключить детали, чтобы привести его в соответствие, однако...

11
Предлагаемая плата ПЛИС [закрыта]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто в прошлом году . Это мой первый взгляд на ПЛИС, но я много знаю в области разработки программного...

11
FPGA: считать вверх или вниз?

Я учусь использовать FPGA (плата разработки Papilio, на которой установлен xilinx spartan3e, использующий vhdl). Мне нужно разделить входящий импульс на (жестко закодированное) число. Я вижу 3 варианта - примерно, как псевдокод (на примере 10 отсчетов): Инициализируйте до 0, при увеличении входного...

11
Когда мне нужно использовать тактовый буфер IC?

Я проектирую схему и плату для управления 7 ЦАП от FPGA. (ЦАП AD9762 ) Можно ли управлять тактовыми входами на всех 7 ЦАП с помощью одного тактового выхода (с выходного контакта PLL) ПЛИС? Или это рецепт катастрофы? Это будут односторонние часы с макс. частота. 125 МГц. Или я должен использовать...

11
Пример кода для FIR / IIR фильтров в VHDL?

Я пытаюсь начать работу с DSP на моей доске Spartan-3. Я сделал плату AC97 с чипом от старой материнской платы, и до сих пор я делал это для АЦП, умножения выборок на число <1 (уменьшение громкости) и затем на ЦАП. Теперь я хотел бы сделать некоторые базовые вещи DSP, такие как фильтр нижних...

11
Новые проекты на FPGA?

Locked . Этот вопрос и его ответы заблокированы, потому что вопрос не по теме, но имеет историческое значение. В настоящее время он не принимает новые ответы или взаимодействия. У меня две недели до окончания моего первого курса по дизайну цифровой логики в колледже, и, очевидно, окончательного...