Вопросы с тегом «simulation»

10
Самый быстрый способ получить целочисленный мод 10 и целочисленное деление 10?

Если аппаратное обеспечение не поддерживает операции модуля или деления, для моделирования модуля / деления с помощью программного обеспечения требуется гораздо больше циклов ЦП. Есть ли более быстрый способ вычисления деления и модуля, если операнд равен 10? В моем проекте мне часто нужно...

10
Моделирование теплообмена от светодиода Power к металлическому стержню

Я играю с освещением на рабочем месте и разработал источник постоянного тока на 20 В -> 38 В для управления моими светодиодами (максимальная мощность около 64 Вт). Все идет нормально. Тем не менее, я почти термически погубил один светодиод, закрепив его на радиаторе значительно меньшего размера...

10
Можете ли вы связать испытательный стенд Modelsim с внешними стимулами

Я работаю в команде, которая занимается разработкой драйверов и ПЛИС. Симуляция FPGA выполняется в Modelsim, а программное обеспечение драйвера написано на C. Чтобы минимизировать риск интеграции, я хотел бы иметь возможность смоделировать взаимодействие между двумя половинами нашего продукта,...

10
Достойная библиотека симуляции компонентов, схемы и компоновки печатной платы?

Я действительно новичок в разработке печатных плат, поэтому я новичок во всех его аспектах. Больше всего у меня проблемы с выбором подходящих компонентов. Проблема в том, что я не могу использовать большинство компонентов, найденных мной в Google или других местах. Чтобы использовать компонент на...

10
Бесплатные симуляторы VerilogA [закрыто]

Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 5 лет назад . Существует множество бесплатных симуляторов SPICE и Verilog, таких как LTSPICE, TINA или...

10
программное обеспечение для получения дифференциальных уравнений для моделирования цепей

Предоставляет ли какое-либо программное обеспечение для моделирования общих схем системные уравнения? Получение системы дифференциальных уравнений 1-го порядка для цепи вручную является своего рода болью. И да, я знаю, что на самом деле симуляторы будут запускать симуляции для меня, но в некоторых...

9
Модульный решатель аналоговых схем?

Я думал о создании базы данных / программы, чтобы упростить создание аналоговых схем. Я хотел убедиться, что нет ничего подобного этому, чему я мог бы способствовать, вместо того, чтобы пытаться создать что-то с нуля. Давайте посмотрим, смогу ли я описать то, о чем я думаю в моей голове словами: С...

9
LTSpice симуляция выпрямителя замедляется через короткое время

У меня есть следующая простая схема, настроенная в LTspice: Синий на выходе трансформатора и зеленый от выпрямителя. Если я не включаю конденсатор, это работает нормально, и симуляция идет быстро. Если я включу конденсатор, однако, симуляция станет невероятно медленной через несколько миллисекунд....

9
LTspice: Как я могу показать несколько зондов с осциллограммами, используя параметры степпинга разных цветов?

Я использую LTspice IV (4.23I) и использую .stepкоманду для изменения емкости конденсатора, чтобы я мог видеть несколько сигналов для одного зонда. Если есть только один зонд, то значения шага имеют разные цвета (см. Ниже). Моя проблема заключается в том, что, если я добавлю еще один зонд,...

9
Есть ли решение для преобразования печатных схем в списки специй?

Я хотел бы иметь возможность сканировать принципиальные схемы в симулятор цепи. Хотя я знаю, что символы не являются полностью стандартизированными, есть ли какое-либо программное обеспечение, которое приблизит...

8
Кассетный вход от TRS-80 - Обзор дизайна

Я работаю над получением информации от кассеты для микрокомпьютера TRS-80 (винтаж 70-х). Это то, что я работал. Это активный фильтр верхних частот второго порядка с частотой спада 2 кГц в топологии Sallen-Key с коэффициентом усиления единой полосы пропускания, и я использовал его как вход для...

8
Почему этот простой шаблон VHDL для регистра сдвига не работает должным образом

На первый взгляд можно ожидать, что приведенный ниже исходный код VHDL будет вести себя как регистр сдвига. В этом д со временем будет "UUUU0", "UUU00", "UU000", "U0000", "00000", .... но вместо этого это всегда Uпосле пяти (или более) последовательных тактов. Почему это? Этот код на самом деле...

8
Понимание схемы генератора высокого напряжения

Я нашел на форуме ветку о преобразователе постоянного тока высокого напряжения 3В в 500В, и кто-то предложил схему от генератора HV techlib для трубок Гейгера : Однако, когда я попытался смоделировать, это не сработало, на выходе было почти 9 В, как на входе. На схеме, которую я нарисовал,...

8
Имитация линии электропередачи (физическая)

Мне нужно иметь возможность симулировать связь с сенсорным устройством по большой длине провода (0-10 км). Это для довольно медленных коммуникаций (максимум 10 кГц, хотя обычно 1-2 кГц). Это был бы FSK ... но в какой-то момент мне, возможно, придется обрабатывать и RS232-подобный сигнал с низкой...

8
Почему мой осциллятор релаксации операционного усилителя не колеблется?

Я разработал генератор релаксации с операционным усилителем. Он должен колебаться на частоте 50 Гц, но это не так. Я не построил физическую схему, я пытаюсь смоделировать ее в CircuitLab. Я рассчитал частоту колебаний со значениями элементов схемы на схеме как езнак равно(Tс+Td)- 1= 50,17...

8
Как лучше всего изобразить «конус» цепи потенциометра?

Проблема заключается в разработке элементов управления усилением / громкостью / панорамированием / балансом / перекрестным затуханием / микшированием с плавным «законом» или «конусностью»; скорость, с которой звуковая громкость меняется при их включении. (Описано, например, в «Тайной жизни горшков...

8
Можете ли вы смоделировать схему?

Есть ли программное обеспечение, которое будет принимать схему в качестве входных данных и моделировать ее функционирование? У меня не так много денег на компоненты и инструменты, поэтому для меня это был бы дешевый и простой способ узнать больше об...