Вопросы с тегом «intel-fpga»

10
Используя оба края часов

Я программирую Altera Cyclone IV, используя Verilog и Quartus II. В моем проекте я хотел бы использовать оба края часов, чтобы можно было делить часы по нечетному коэффициенту с коэффициентом заполнения 50%. Вот фрагмент моего кода: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i...

10
Что такое перекос часов и почему он может быть отрицательным?

Мой HDL-компилятор (Quartus II) генерирует временные отчеты. В нем узлы имеют столбец «перекоса часов». Единственное определение перекоса часов, которое я нашел, находится в документации TimeQuest (см. Стр. 7-24): Чтобы вручную указать неопределенность часов или наклон для передач по часам,...