Как использовать Verilog HDL в Ubuntu?

8

Я попытался начать небольшой курс с нескольких примеров в Verilog Hdl, и, потратив много времени на поиск лучшего симулятора или IDE, чтобы попрактиковаться с некоторыми примерами, я не смог понять, как его использовать в Ubuntu 12.04.

Итак, у меня есть два вопроса:

  1. Как я могу использовать Verilog в Ubuntu 12.04?

  2. Какой лучший симулятор (или IDE) доступен для Linux?

amrro
источник

Ответы:

3

Вы можете скачать симулятор SynpatiCAD Linux Verilog, совместимый с Ubuntu. Он включает в себя симулятор командной строки и графическую среду IDE. После установки вы можете запустить инструмент и запросить бесплатную 6-месячную лицензию на симулятор.

Дэн Нотстейн
источник
5

Помогает ли этот пост: Verilog и VHDL в Linux (Ubuntu) ?

Он рекомендует совместное использование Icarus Verilog ( iverilogв репозиториях) для моделирования Verilog, GHDL для моделирования VHDL и GTKWave ( gtkwaveв репозиториях) для просмотра формы сигнала.

Если вам нужен редактор Verilog на основе Eclipse, попробуйте veditor .

Том Регнер
источник
но Veditor не открывается, я открываю его с JDK6 и не отвечаю, или есть другой способ запустить его
amrro
Это не отдельный продукт, а плагин Eclipse; установите eclipse, затем следуйте инструкциям здесь: sourceforge.net/apps/mediawiki/veditor/…
Том Регнер
хорошо, я хочу еще одну услугу, не могли бы вы обновить свой ответ, как установить icarus verilog, я не могу установить его
amrro
ghdl, дайте мне эту ошибку ... Пакет ghdl недоступен, но на него ссылается другой пакет. Это может означать, что пакет отсутствует, был устаревшим или доступен только из другого источника. E: Пакет 'ghdl' не имеет кандидата на установку
amrro
Ах - нашел mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; из-за проблем со сборкой ghdl был удален из Debian ATM, а также в Ubuntu по состоянию на 12.04; Возможно, вы захотите попробовать скомпилировать его самостоятельно (и установить его с помощью checkinstall) - но говорить / писать вам это выходит за рамки моего времени
Том Регнер,
1

Вы также можете использовать среды IDE для разработки FPGA. Altera Quartus для Altera (Intel) FPGA или Xilinx ISE для устройств Xilinx. Эти среды позволяют писать исходные коды VHDL и Verilog и включают в себя симуляторы.

jotego
источник
Лично я использовал Quartus Prime, достаточно хорошую IDE, хотя если вы собираетесь загружать код verilog на реальную FPGA, вам нужно отредактировать правила udev для распознавания устройства.
Сергей Колодяжный
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Чтобы увидеть, все ли работает правильно, давайте сделаем привет-мир Verilog.

nano hello.v

затем напишите следующий код hello-world

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Затем скомпилируйте

iverilog hello.v -o hello

и, наконец, запустить свой код

vvp hello
user10842694
источник
-1

Попробуйте эту команду в окне терминала:

sudo apt-get install gplcver
оператор linux
источник
Это не отвечает на вопрос. Пожалуйста, опишите ваш ответ. Что такое gplcver? Как это использовать?
Эрик Карвалью,