Как определить беспигенный сигнал в VHDL

signal <SignalName> : unsigned (<NºBITS> Downto 0) : = <InitialValue>;
Heisenberg